Bài giảng Điện tử số - Trần Thúy Hà

Nguyên tắc chung

 Dùng một số hữu hạn các ký hiệu ghép với nhau theo qui ước về vị trí.

Các ký hiệu này thường được gọi là chữ số. Do đó, người ta còn gọi hệ

đếm là hệ thống số. Số ký hiệu được dùng là cơ số của hệ ký hiệu là r.

 Giá trị biểu diễn của các chữ khác nhau được phân biệt thông qua trọng

số của hệ. Trọng số của một hệ đếm bất kỳ sẽ bằng ri, với i là số nguyên

dương hoặc âm.

QUY TẮC:

 Đối với phần nguyên:

 Chia liên tiếp phần nguyên của số thập phân cho cơ số của hệ

cần chuyển đến, số dư sau mỗi lần chia viết đảo ngược trật tự

là kết quả cần tìm.

 Phép chia dừng lại khi kết quả lần chia cuối cùng bằng 0.

 Đối với phần phân số:

 Nhân liên tiếp phần phân số của số thập phân với cơ số của

hệ cần chuyển đến, phần nguyên thu được sau mỗi lần nhân,

viết tuần tự là kết quả cần tìm.

 Phép nhân dừng lại khi phần phân số triệt tiêu.

pdf 273 trang kimcuc 15161
Bạn đang xem 20 trang mẫu của tài liệu "Bài giảng Điện tử số - Trần Thúy Hà", để tải tài liệu gốc về máy hãy click vào nút Download ở trên

Tóm tắt nội dung tài liệu: Bài giảng Điện tử số - Trần Thúy Hà

Bài giảng Điện tử số - Trần Thúy Hà
Bài giảng Điện tử sốV1.0
www.ptit.edu.vn GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
BỘ MÔN: KỸ THUẬT ĐIỆN TỬ- KHOA KTDT1 1
HỌC VIỆN CÔNG NGHỆ BƢU CHÍNH VIỄN THÔNG 
BÀI GIẢNG MÔN
ĐIỆN TỬ SỐ
Giảng viên: ThS. Trần Thúy Hà
Điện thoại/E-mail: 0912166577 / thuyhadt@gmail.com
Bộ môn: Kỹ thuật điện tử- Khoa KTDT1
Học kỳ/Năm biên soạn: Học kỳ 1 năm 2009
Bài giảng Điện tử sốV1.0
www.ptit.edu.vn GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
BỘ MÔN: KỸ THUẬT ĐIỆN TỬ- KHOA KTDT1
BÀI GIẢNG MÔN : ĐIỆN TỬ SỐ
2
 Chƣơng 1: Hệ đếm
Chƣơng 2: Đại số Boole và các phƣơng pháp biểu diễn hàm
Chƣơng 3: Cổng logic TTL và CMOS
Chƣơng 4: Mạch logic tổ hợp
Chƣơng 5: Mạch logic tuần tự
Chƣơng 6: Mạch phát xung và tạo dạng xung
Chƣơng 7: Bộ nhớ bán dẫn.
Chƣơng 8: cấu kiện logic khả trình (PLD)
Chƣơng 9: Ngôn ngữ mô tả phần cứng (VHDL)
Bài giảng Điện tử sốV1.0
www.ptit.edu.vn GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
BỘ MÔN: KỸ THUẬT ĐIỆN TỬ- KHOA KTDT1
Headline (Times New Roman Black 36pt.)
BÀI GIẢNG MÔN : ĐIỆN TỬ SỐ
3
CHƢƠNG 1.
Hệ đếm
Bài giảng Điện tử sốV1.0
www.ptit.edu.vn GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
BỘ MÔN: KỸ THUẬT ĐIỆN TỬ- KHOA KTDT1
BÀI GIẢNG MÔN : ĐIỆN TỬ SỐ
4
 1.1. Biểu diễn số
1.2. Chuyển đổi cơ số giữa các hệ đếm
1.3. Số nhị phân có dấu
1.4. Dấu phẩy động
1.5. Một số loại mã nhị phân thông dụng
Bài giảng Điện tử sốV1.0
www.ptit.edu.vn GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
BỘ MÔN: KỸ THUẬT ĐIỆN TỬ- KHOA KTDT1
BÀI GIẢNG MÔN : ĐIỆN TỬ SỐ
5
1.1. Biểu diễn số (1)
 Nguyên tắc chung
 Dùng một số hữu hạn các ký hiệu ghép với nhau theo qui ƣớc về vị trí. 
Các ký hiệu này thƣờng đƣợc gọi là chữ số. Do đó, ngƣời ta còn gọi hệ 
đếm là hệ thống số. Số ký hiệu đƣợc dùng là cơ số của hệ ký hiệu là r.
 Giá trị biểu diễn của các chữ khác nhau đƣợc phân biệt thông qua trọng 
số của hệ. Trọng số của một hệ đếm bất kỳ sẽ bằng ri, với i là số nguyên 
dƣơng hoặc âm. 
 Tên gọi, số ký hiệu và cơ số của một vài hệ đếm thông dụng 
Chú ý: Ngƣời ta cũng có thể gọi hệ đếm theo cơ số của chúng. Ví dụ: Hệ nhị phân = 
Hệ cơ số 2, Hệ thập phân = Hệ cơ số 10...
Tên hệ đếm Số ký hiệu Cơ số (r)
Hệ nhị phân (Binary)
Hệ bát phân (Octal)
Hệ thập phân (Decimal)
Hệ thập lục phân (Hexadecimal)
0, 1
0, 1, 2, 3, 4, 5, 6, 7
0, 1, 2, 3, 4, 5, 6, 7, 8, 9
0, 1, 2, 3, 4, 5, 6, 7, 8, 9, A, B, C, D, E, F
2
8
10
16
Bài giảng Điện tử sốV1.0
www.ptit.edu.vn GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
BỘ MÔN: KỸ THUẬT ĐIỆN TỬ- KHOA KTDT1
BÀI GIẢNG MÔN : ĐIỆN TỬ SỐ
6
 Biểu diễn số tổng quát:
n 1 1 0 1 m
n 1 1 0 1 m
m
i
i
n 1
N a r ... a r a r a r ... a r
a r
 
n 1 1 0 1 m
10 n 1 1 0 1 m
m
i
i
n 1
N d 10 ... d 10 d 10 d 10 ... d 10
d 10
 
n 1 1 0 1 m
2 n 1 1 0 1 m
m
i
i
n 1
N b 2 ... b 2 b 2 b 2 ... b 2
b 2
 
1.1. Biểu diễn số (2)
Bài giảng Điện tử sốV1.0
www.ptit.edu.vn GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
BỘ MÔN: KỸ THUẬT ĐIỆN TỬ- KHOA KTDT1
BÀI GIẢNG MÔN : ĐIỆN TỬ SỐ
7
n 1 0 1 m
16 n 1 0 1 m
m
i
i
n 1
N H 16 .... H 16 H 16 .... H 16
H 16
 
n 1 0 1 m
8 n 1 0 1 m
m
i
i
n 1
N O 8 ... O 8 O 8 ... O 8
O 8
 
1.1. Biểu diễn số (3)
Bài giảng Điện tử sốV1.0
www.ptit.edu.vn GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
BỘ MÔN: KỸ THUẬT ĐIỆN TỬ- KHOA KTDT1
BÀI GIẢNG MÔN : ĐIỆN TỬ SỐ
8
1.1. Biểu diễn số
 1.2. Chuyển đổi cơ số giữa các hệ đếm
1.3. Số nhị phân có dấu
1.4. Dấu phẩy động
1.5. Một số loại mã nhị phân thông dụng
1.2. Chuyển đổi cơ số giữa các hệ đếm
Bài giảng Điện tử sốV1.0
www.ptit.edu.vn GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
BỘ MÔN: KỸ THUẬT ĐIỆN TỬ- KHOA KTDT1
BÀI GIẢNG MÔN : ĐIỆN TỬ SỐ
9
Chuyển đổi từ hệ cơ số 10 sang các hệ khác
QUY TẮC:
 Đối với phần nguyên:
 Chia liên tiếp phần nguyên của số thập phân cho cơ số của hệ 
cần chuyển đến, số dƣ sau mỗi lần chia viết đảo ngƣợc trật tự 
là kết quả cần tìm.
 Phép chia dừng lại khi kết quả lần chia cuối cùng bằng 0.
 Đối với phần phân số:
 Nhân liên tiếp phần phân số của số thập phân với cơ số của 
hệ cần chuyển đến, phần nguyên thu đƣợc sau mỗi lần nhân, 
viết tuần tự là kết quả cần tìm.
 Phép nhân dừng lại khi phần phân số triệt tiêu.
Bài giảng Điện tử sốV1.0
www.ptit.edu.vn GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
BỘ MÔN: KỸ THUẬT ĐIỆN TỬ- KHOA KTDT1
BÀI GIẢNG MÔN : ĐIỆN TỬ SỐ
10
Đổi một biểu diễn trong hệ bất kì sang hệ 10
 Công thức chuyển đổi:
 Thực hiện lấy tổng vế phải sẽ có kết quả cần tìm. Trong biểu 
thức trên, ai và r là hệ số và cơ số hệ có biểu diễn.
 Ví dụ: Chuyển 1101110.102 sang hệ thập phân
n 1 n 2 0 1 m
10 n 1 n 2 0 1 mN a r a r .... a r a r .... a r
6 5 4 3 2 1 0 1 2
10N 1 2 1 2 0 2 1 2 1 2 1 2 0 2 1 2 0 2
64 32 0 8 4 2 0 0.5 0 110.5
Bài giảng Điện tử sốV1.0
www.ptit.edu.vn GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
BỘ MÔN: KỸ THUẬT ĐIỆN TỬ- KHOA KTDT1
BÀI GIẢNG MÔN : ĐIỆN TỬ SỐ
11
Đổi các số từ hệ nhị phân sang hệ cơ số 8, 16
 Quy tắc:
 Vì 8 = 23 và 16 = 24 nên ta chỉ cần dùng một số nhị phân 3 
bit là đủ ghi 8 ký hiệu của hệ cơ số 8 và từ nhị phân 4 bit 
cho hệ cơ số 16.
 Do đó, muốn đổi một số nhị phân sang hệ cơ số 8 và 16 ta 
chia số nhị phân cần đổi, kể từ dấu phân số sang trái và phải 
thành từng nhóm 3 bit hoặc 4 bit. Sau đó thay các nhóm bit 
đã phân bằng ký hiệu tƣơng ứng của hệ cần đổi tới.
Bài giảng Điện tử sốV1.0
www.ptit.edu.vn GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
BỘ MÔN: KỸ THUẬT ĐIỆN TỬ- KHOA KTDT1
BÀI GIẢNG MÔN : ĐIỆN TỬ SỐ
12
1.3. Số nhị phân có dấu
1.1. Biểu diễn số
1.2. Chuyển đổi cơ số giữa các hệ đếm
 1.3. Số nhị phân có dấu
1.4. Dấu phẩy động
1.5. Một số loại mã nhị phân thông dụng
Bài giảng Điện tử sốV1.0
www.ptit.edu.vn GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
BỘ MÔN: KỸ THUẬT ĐIỆN TỬ- KHOA KTDT1
BÀI GIẢNG MÔN : ĐIỆN TỬ SỐ
13
3 phƣơng pháp biểu diễn số nhị phân có dấu
 Sử dụng một bit dấu.
 Trong phƣơng pháp này ta dùng một bit phụ, đứng trƣớc các bit trị
số để biểu diễn dấu, „0‟ chỉ dấu dƣơng (+), „1‟ chỉ dấu âm (-).
 Sử dụng phép bù 1.
 Giữ nguyên bit dấu và lấy bù 1 các bit trị số (bù 1 bằng đảo của các
bit cần đƣợc lấy bù).
 Sử dụng phép bù 2
 Là phƣơng pháp phổ biến nhất. Số dƣơng thể hiện bằng số nhị
phân không bù (bit dấu bằng 0), còn số âm đƣợc biểu diễn qua bù 2
(bit dấu bằng 1). Bù 2 bằng bù 1 cộng 1.
 Có thể biểu diễn số âm theo phƣơng pháp bù 2 xen kẽ: bắt đầu từ
bit LSB, dịch về bên trái, giữ nguyên các bit cho đến gặp bit 1 đầu
tiên và lấy bù các bit còn lại. Bit dấu giữ nguyên.
Bài giảng Điện tử sốV1.0
www.ptit.edu.vn GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
BỘ MÔN: KỸ THUẬT ĐIỆN TỬ- KHOA KTDT1
BÀI GIẢNG MÔN : ĐIỆN TỬ SỐ
14
Cộng và trừ các số theo biểu diễn bit dấu
 Phép cộng
 Hai số cùng dấu: cộng hai phần trị số với nhau, còn dấu là 
dấu chung. 
 Hai số khác dấu và số dương lớn hơn: cộng trị số của số 
dƣơng với bù 1 của số âm. Bit tràn đƣợc cộng thêm vào kết 
quả trung gian. Dấu là dấu dƣơng.
 Hai số khác dấu và số dương lớn hơn: cộng trị số của số 
dƣơng với bù 1 của số âm. Lấy bù 1 của tổng trung gian. 
Dấu là dấu âm. 
 Phép trừ.
 Nếu lƣu ý rằng, - (-) = + thì trình tự thực hiện phép trừ trong 
trƣờng hợp này cũng giống phép cộng.
Bài giảng Điện tử sốV1.0
www.ptit.edu.vn GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
BỘ MÔN: KỸ THUẬT ĐIỆN TỬ- KHOA KTDT1
BÀI GIẢNG MÔN : ĐIỆN TỬ SỐ
15
Cộng và trừ các số theo biểu diễn bù 1
 Phép cộng
 Hai số dương: cộng nhƣ cộng nhị phân thông thƣờng, kể cả bit 
dấu. 
 Hai số âm: biểu diễn chúng ở dạng bù 1 và cộng nhƣ cộng nhị 
phân, kể cả bit dấu. Bit tràn cộng vào kết quả. Chú ý, kết quả 
đƣợc viết dƣới dạng bù 1.
 Hai số khác dấu và số dương lớn hơn: cộng số dƣơng với bù 1 
của số âm. Bit tràn đƣợc cộng vào kết quả.
 Hai số khác dấu và số âm lớn hơn: cộng số dƣơng với bù 1 của 
số âm. Kết quả không có bit tràn và ở dạng bù 1.
 Phép trừ 
 Để thực hiện phép trừ, ta lấy bù 1 của số trừ, sau đó thực hiện các 
bƣớc nhƣ phép cộng.
Bài giảng Điện tử sốV1.0
www.ptit.edu.vn GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
BỘ MÔN: KỸ THUẬT ĐIỆN TỬ- KHOA KTDT1
BÀI GIẢNG MÔN : ĐIỆN TỬ SỐ
16
Cộng và trừ các số theo biểu diễn bù 2
 Phép cộng
 Hai số dương: cộng nhƣ cộng nhị phân thông thƣờng. Kết quả là 
dƣơng.
 Hai số âm: lấy bù 2 cả hai số hạng và cộng, kết quả ở dạng bù 2.
 Hai số khác dấu và số dương lớn hơn: lấy số dƣơng cộng với bù 
2 của số âm. Kết quả bao gồm cả bit dấu, bit tràn bỏ đi.
 Hai số khác dấu và số âm lớn hơn: số dƣơng đƣợc cộng với bù 2 
của số âm, kết quả ở dạng bù 2 của số dƣơng tƣơng ứng. Bit dấu 
là 1.
 Phép trừ
 Phép trừ hai số có dấu là các trƣờng hợp riêng của phép cộng. 
Bài giảng Điện tử sốV1.0
www.ptit.edu.vn GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
BỘ MÔN: KỸ THUẬT ĐIỆN TỬ- KHOA KTDT1
BÀI GIẢNG MÔN : ĐIỆN TỬ SỐ
17
1.4. Dấu phẩy động
1.1. Biểu diễn số
1.2. Chuyển đổi cơ số giữa các hệ đếm
1.3. Số nhị phân có dấu
 1.4. Dấu phẩy động
1.5. Một số loại mã nhị phân thông dụng
Bài giảng Điện tử sốV1.0
www.ptit.edu.vn GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
BỘ MÔN: KỸ THUẬT ĐIỆN TỬ- KHOA KTDT1
BÀI GIẢNG MÔN : ĐIỆN TỬ SỐ
18
Biểu diễn theo dấu phẩy động
 Gồm hai phần: số mũ E (phần đặc tính) và phần định trị M
(trƣờng phân số). E có thể có độ dài từ 5 đến 20 bit, M từ 8
đến 200 bit phụ thuộc vào từng ứng dụng và độ dài từ máy
tính. Thông thƣờng dùng 1 số bit để biểu diễn E và các bit
còn lại cho M với điều kiện:
 E và M có thể đƣợc biểu diễn ở dạng bù 2. Giá trị của
chúng đƣợc hiệu chỉnh để đảm bảo mối quan hệ trên đây
đƣợc gọi là chuẩn hóa.
1/ 2 M 1 
 xE xX 2 M 
Bài giảng Điện tử sốV1.0
www.ptit.edu.vn GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
BỘ MÔN: KỸ THUẬT ĐIỆN TỬ- KHOA KTDT1
BÀI GIẢNG MÔN : ĐIỆN TỬ SỐ
19
Các phép tính với biểu diễn dấu phẩy động
 Giống nhƣ các phép tính của hàm mũ. Giả sử có hai số theo 
dấu phẩy động đã chuẩn hóa: 
thì:
 Nhân: 
 Chia: 
 Muốn lấy tổng và hiệu, cần đƣa các số hạng về cùng số mũ, 
sau đó số mũ của tổng và hiệu sẽ lấy số mũ chung, còn định 
trị của tổng và hiệu sẽ bằng tổng và hiệu các định trị.
 xE xX 2 M y
E
yY 2 M 
 x y ZE E Ex y zZ X.Y 2 M .M 2 M
 x y wE E Ex y wW X / Y 2 M / M 2 M
Bài giảng Điện tử sốV1.0
www.ptit.edu.vn GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
BỘ MÔN: KỸ THUẬT ĐIỆN TỬ- KHOA KTDT1
Headline (Times New Roman Black 36pt.)
BÀI GIẢNG MÔN : ĐIỆN TỬ SỐ
20
1.1. Biểu diễn số
1.2. Chuyển đổi cơ số giữa các hệ đếm
1.3. Số nhị phân có dấu
1.4. Dấu phẩy động
• 1.5. Một số loại mã nhị phân thông dụng
• 1.5. Một số loại mã nhị phân thông dụng
Bài giảng Điện tử sốV1.0
www.ptit.edu.vn GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
BỘ MÔN: KỸ THUẬT ĐIỆN TỬ- KHOA KTDT1
Headline (Times New Roman Black 36pt.)
BÀI GIẢNG MÔN : ĐIỆN TỬ SỐ
21
Số 
thập 
phân
Trọng số của mã BCD
8421 7421 5121 2421 4221
0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0000
1 0 0 0 1 0 0 0 1 0 0 0 1 0 0 0 1 0001
2 0 0 1 0 0 0 1 0 0 0 1 0 0 0 1 0 0010
3 0 0 1 1 0 0 1 1 0 0 1 1 0 0 1 1 0011
4 0 1 0 0 0 1 0 0 0 1 1 1 0 1 0 0 1000
5 0 1 0 1 0 1 0 1 1 0 0 0 1 0 1 1 0111
6 0 1 1 0 0 1 1 0 1 0 0 1 1 1 0 0 1100
7 0 1 1 1 1 0 0 0 1 0 1 0 1 1 0 1 1101
8 1 0 0 0 1 0 0 1 1 0 1 1 1 1 1 0 1110
9 1 0 0 1 1 0 1 0 1 1 1 1 1 1 1 1 1111
Cấu tạo mã BCD với các trọng số khác nhau.
• 1.5. Một số loại mã nhị phân thông dụng
Bài giảng Điện tử sốV1.0
www.ptit.edu.vn GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
BỘ MÔN: KỸ THUẬT ĐIỆN TỬ- KHOA KTDT1
Headline (Times New Roman Black 36pt.)
BÀI GIẢNG MÔN : ĐIỆN TỬ SỐ
22
• 1.5. Một số loại mã nhị phân thông dụng
Số
thập phân
Số nhị 
phân
Mã dƣ 3 Mã 
Gray
Mã Gray
Dƣ 3
Mã 
Johnson
Mã vòng
0 0 0 0 0 0 0 1 1 0 0 0 0 0 0 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1
1 0 0 0 1 0 1 0 0 0 0 0 1 0 1 1 0 1 0 0 0 0 0 0 0 0 0 0 0 0 1 0
2 0 0 1 0 0 1 0 1 0 0 1 1 0 1 1 1 1 1 0 0 0 0 0 0 0 0 0 0 1 0 0
3 0 0 1 1 0 1 1 0 0 0 1 0 0 1 0 1 1 1 1 0 0 0 0 0 0 0 0 1 0 0 0
4 0 1 0 0 0 1 1 1 0 1 1 0 0 1 0 0 1 1 1 1 0 0 0 0 0 0 1 0 0 0 0
5 0 1 0 1 1 0 0 0 0 1 1 1 1 1 0 0 1 1 1 1 1 0 0 0 0 1 0 0 0 0 0
6 0 1 1 0 1 0 0 1 0 1 0 1 1 1 0 1 0 1 1 1 1 0 0 0 1 0 0 0 0 0 0
7 0 1 1 1 1 0 1 0 0 1 0 0 1 1 1 1 0 0 1 1 1 0 0 1 0 0 0 0 0 0 0
8 1 0 0 0 1 0 1 1 1 1 0 0 1 1 1 0 0 0 0 1 1 0 1 0 0 0 0 0 0 0 0
9 1 0 0 1 1 1 0 0 1 1 0 1 1 0 1 0 0 0 0 0 1 1 0 0 0 0 0 0 0 0 0
Cấu tạo của một số mã nhị phân thông dụng.
Bài giảng Điện tử sốV1.0
www.ptit.edu.vn GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
BỘ MÔN: KỸ THUẬT ĐIỆN TỬ- KHOA KTDT1
BÀI GIẢNG MÔN : ĐIỆN TỬ SỐ
23
Chƣơng 2: Đại số Boole và các phƣơng pháp biểu diễn hàm
Chƣơng 1: Hệ đếm
• Chƣơng 2: Đại số Boole và các phƣơng pháp biểu diễn hàm
Chƣơng 3: Cổng logic TTL và CMOS
Chƣơng 4: Mạch logic tổ hợp
Chƣơng 5: Mạch logic tuần tự
Chƣơng 6: Mạch phát xung và tạo dạng xung
Chƣơng 7: Bộ nhớ bán dẫn.
Chƣơng 8: cấu kiện logic khả trình (PLD)
Chƣơng 9: Ngôn ngữ mô tả phần cứng (VHDL)
Bài giảng Điện tử sốV1.0
www.ptit.edu.vn GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
BỘ MÔN: KỸ THUẬT ĐIỆN TỬ- KHOA KTDT1
Headline (Times New Roman Black 36pt.)
BÀI GIẢNG MÔN : ĐIỆN TỬ SỐ
24
CHƢƠNG 2.
ĐẠI SỐ BOOLE
Bài giảng Điện tử sốV1.0
www.ptit.edu.vn GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
BỘ MÔN: KỸ THUẬT ĐIỆN TỬ- KHOA KTDT1
BÀI GIẢNG MÔN : ĐIỆN TỬ SỐ
25
2.1. Các định lý 
 Các định lý cơ bản:
 Các định luật cơ bản:
 Hoán vị: X.Y = Y.X, X + Y = Y + X
 Kết hợp: X.(Y.Z) = (X.Y).Z, X + (Y + Z) = (X + Y) + Z
 Phân phối: X.(Y + Z) = X.Y + X.Z, (X + Y).(X + Z) = X + Y.Z
Stt Tên gọi Dạng tích Dạng tổng
1 Đồng nhất X.1 = X X + 0 = X 
2 Phần tử 0, 1 X.0 = 0 X + 1 = 1 
3 Bù
4 Bất biến X.X = X X + X = X 
5 Hấp thụ X + X.Y = X X.(X + Y) = X 
6 Phủ định đúp
7 Định lý 
DeMorgan
X = X
 X.Y.Z... X Y Z ... X Y Z ... X.Y.Z... 
X.X 0 X X 1 1
X
Y
Z
Bài giảng Điện tử sốV1.0
www.ptit.edu.vn GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
BỘ MÔN: KỸ THUẬT ĐIỆN TỬ- KHOA KTDT1
BÀI GIẢNG MÔN : ĐIỆN TỬ SỐ
26
2.2. Các phƣơng pháp biểu diễn hàm Boole
Có 3 phƣơng pháp biểu diễn:
 Bảng trạng thái
 Bảng các nô (Karnaugh)
 Phƣơng pháp đại số
Bài giảng Điện tử sốV1.0
www.ptit.edu.vn GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
BỘ MÔN: KỸ THUẬT ĐIỆN TỬ- KHOA KTDT1
BÀI GIẢNG MÔN : ĐIỆN TỬ SỐ
27
2.2.1. Phƣơng pháp Bảng trạng thái
 Liệt kê giá trị (trạng thái) mỗi 
biến theo từng cột và giá trị 
hàm theo một cột riêng 
(thƣờng là bên phải bảng). 
Bảng trạng thái còn đƣợc gọi 
là bảng sự thật hay bảng chân 
lý.
 Đối với hàm n biến sẽ có 2n tổ 
hợp độc lập. Các tổ hợp này 
đƣợc kí hiệu bằng chữ mi, với i 
= 0 † 2n -1 và có tên gọi là các 
hạng tích hay còn gọi là 
mintex.
m A B C f
m0 0 0 0 0
m1 0 0 1 0
m2 0 1 0 0
m3 0 1 1 0
m4 1 0 0 0
m5 1 0 1 0
m6 1 1 0 0
m7 1 1 1 1
Bài giảng Điện tử sốV1.0
www.ptit.edu.vn GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
BỘ MÔN: KỸ THUẬT ĐIỆN TỬ- KHOA KTDT1
BÀI GIẢNG MÔN : ĐIỆN TỬ SỐ
28
2.2.2. Phƣơng pháp Bảng Các nô (Karnaugh)
 Tổ chức của bảng Các nô:
 Các tổ hợp biến đƣợc viết theo một dòng 
(thƣờng là phía trên) và một cột (thƣờng 
là bên trái) .
 Một hàm logic có n biến sẽ có 2n ô.
 Mỗi ô thể hiện một hạng tích hay một 
hạng tổng, các hạng tích trong hai ô kế 
cận chỉ khác nhau một biến.
B
0 1
A
0
1
BC
00 01 11 10
A
0
1
CD
00 01 11 10
AB
00
01
11
10
Bài giảng Điện tử sốV1.0
www.ptit.edu.vn GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
BỘ MÔN: KỸ THUẬT ĐIỆN TỬ- KHOA KTDT1
BÀI GIẢNG MÔN : ĐIỆN TỬ SỐ
29
2.2.3. Phƣơng pháp đại số
 Có 2 dạng biểu diễn là dạng tuyển (tổng các tích) và dạng hội (tích các tổng). 
 Dạng tuyển: Mỗi số hạng là một hạng tích hay mintex, thƣờng kí hiệu bằng chữ "mi".
 Dạng hội: Mỗi thừa số là hạng tổ ... ệnh tuần tự
Bài giảng Điện tử sốV1.0
www.ptit.edu.vn GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
BỘ MÔN: KỸ THUẬT ĐIỆN TỬ- KHOA KTDT1
BÀI GIẢNG MÔN : ĐIỆN TỬ SỐ
 d. Lệnh case:
 Lệnh case đƣợc sử dụng trong trƣờng hợp có một biểu thức để kiểm soát 
nhiều rẽ nhánh trong chƣơng trình VHDL. Các lệnh tƣơng ứng với một 
trong các lựa chọn sẽ đƣợc thực hiệu nếu biểu thức kiểm soát có giá trị bằng 
giá trị tƣơng ứng của lựa chọn đó. Có hai dạng cơ bản:
 Dạng 1:
 Case (biểu_thức_kiểm_soát) is
 When => ;
 When => ;
 ...
 end case;
9.1.7 Cấu trúc lệnh tuần tự
Bài giảng Điện tử sốV1.0
www.ptit.edu.vn GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
BỘ MÔN: KỸ THUẬT ĐIỆN TỬ- KHOA KTDT1
BÀI GIẢNG MÔN : ĐIỆN TỬ SỐ
 Dạng 2:
 Case (selector expression) is
 When =>
 ;
 When =>
 ;
 ...
 When others =>
 ;
 end case;
9.1.7 Cấu trúc lệnh tuần tự
Bài giảng Điện tử sốV1.0
www.ptit.edu.vn GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
BỘ MÔN: KỸ THUẬT ĐIỆN TỬ- KHOA KTDT1
BÀI GIẢNG MÔN : ĐIỆN TỬ SỐ
 e. Câu lệnh rỗng Null
 Câu lệnh rỗng có cú pháp nhƣ sau: Null;
 Trong VDHL khi chƣơng trình mô phỏng gặp câu lệnh Null 
nó sẽ bỏ qua lệnh này và thực hiện lệnh tiếp theo sau. Thông 
thƣờng lệnh Null dùng để chỉ trƣờng hợp không thực hiện 
của lệnh một cách tƣờng minh khi có các điều kiện trả lại giá 
trị true. Do đó lệnh Null thƣờng đƣợc dùng trong các câu 
lệnh case đối với những giá trị lựa chọn không cần thao tác. 
9.1.7 Cấu trúc lệnh tuần tự
Bài giảng Điện tử sốV1.0
www.ptit.edu.vn GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
BỘ MÔN: KỸ THUẬT ĐIỆN TỬ- KHOA KTDT1
BÀI GIẢNG MÔN : ĐIỆN TỬ SỐ
9.1.7 Cấu trúc lệnh tuần tự
process (A, B, C, D, Sel ) 
begin 
case Sel is 
 when ―00‖ => Z <= A ; 
 when ―01‖ => Z <= B ; 
 when ―10‖ => Z <= C ; 
 when others => Null; 
end case ; 
end process ; 
Z
C
B
A
Sel
Ví dụ
Bài giảng Điện tử sốV1.0
www.ptit.edu.vn GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
BỘ MÔN: KỸ THUẬT ĐIỆN TỬ- KHOA KTDT1
BÀI GIẢNG MÔN : ĐIỆN TỬ SỐ
 f. Các lệnh lặp
 Lệnh lặp loop chứa thân vòng lặp bao gồm dãy các câu lệnh sẽ 
đƣợc thực hiện nhiều lần. 
 Cú pháp của lệnh lặp nhƣ sau:
[:] [] loop
{}| 
{next [] [when ];}|
{exit [] [when ];}
end loop [nhãn];
9.1.7 Cấu trúc lệnh tuần tự
Bài giảng Điện tử sốV1.0
www.ptit.edu.vn GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
BỘ MÔN: KỸ THUẬT ĐIỆN TỬ- KHOA KTDT1
BÀI GIẢNG MÔN : ĐIỆN TỬ SỐ
9.1.7 Cấu trúc lệnh tuần tự
process (A, B, C, D, Sel ) 
begin 
case Sel is 
 when ―00‖ => Z <= A ; 
 when ―01‖ => Z <= B ; 
 when ―10‖ => Z <= C ; 
 when ―11‖ => Z <= D ; 
end case ; 
end process ; 
Z
D
C
B
A
Sel
Ví dụ
Bài giảng Điện tử sốV1.0
www.ptit.edu.vn GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
BỘ MÔN: KỸ THUẬT ĐIỆN TỬ- KHOA KTDT1
BÀI GIẢNG MÔN : ĐIỆN TỬ SỐ
 a. Hàm – FUNCTION
-- Khai báo
FUNCTION Tên_hàm [(danh_sách_biến)] RETURN kiểu_dữ_liệu; 
-- Function Body (Mô tả hàm)
FUNCTION Tên_hàm [(danh_sách_biến)] RETURN kiểu_dữ_liệu IS
-- Khai báo CONSTANT, VARIABLE nếu có
BEGIN
(Viết mô tả hàm dùng cấu trúc Lệnh tuần tự)
END Tên_hàm; 
9.1.8 Hàm và thủ tục 
Bài giảng Điện tử sốV1.0
www.ptit.edu.vn GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
BỘ MÔN: KỸ THUẬT ĐIỆN TỬ- KHOA KTDT1
BÀI GIẢNG MÔN : ĐIỆN TỬ SỐ
 Ví dụ hàm xác định sƣờn dƣơng của tín hiệu clk nhƣ sau:
 ------ Function body -------
 FUNCTION positive_edge(SIGNAL s: STD_LOGIC) 
RETURN BOOLEAN IS
 BEGIN
 RETURN (s'EVENT AND s='1');
 END positive_edge;
9.1.8 Hàm và thủ tục 
Bài giảng Điện tử sốV1.0
www.ptit.edu.vn GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
BỘ MÔN: KỸ THUẬT ĐIỆN TỬ- KHOA KTDT1
BÀI GIẢNG MÔN : ĐIỆN TỬ SỐ
 b. Thủ tục – PROCEDURE
 Về cơ bản cú pháp, cách gọi, vị trí của thủ tục - PROCEDURE tƣơng tự nhƣ
hàm – FUNCTION, nhƣng thủ tục không đƣợc trả về giá trị.
 Cú pháp để khai báo và mô tả thủ tục (phần PROCEDURE BODY) nhƣ sau:
-- Khai báo
PROCEDURE Tên_thủ_tục [(danh_sách_biến)];
-- PROCEDURE Body (Mô tả hàm)
PROCEDURE Tên_hàm [(danh_sách_biến)] IS
-- Khai báo CONSTANT, VARIABLE nếu có
BEGIN
(Viết mô tả thủ tục dùng cấu trúc Lệnh tuần tự)
END Tên_thủ_tục;
9.1.8 Hàm và thủ tục 
Bài giảng Điện tử sốV1.0
www.ptit.edu.vn GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
BỘ MÔN: KỸ THUẬT ĐIỆN TỬ- KHOA KTDT1
BÀI GIẢNG MÔN : ĐIỆN TỬ SỐ
 9.2.1 Phƣơng pháp mô tả theo mô hình cấu trúc logic 
 Trƣớc khi đƣợc sử dụng trong kiến trúc của cả hệ thống, các 
thành phần đã đƣợc xây dựng (gọi tắt là các component) 
phải đƣợc khai báo một cách tƣờng minh theo cú pháp sau:
Component 
Port()
-- Tƣơng tự nhƣ khai báo trong thực thể
End component;
9.2 PHƢƠNG PHÁP MÔ TẢ HỆ THỐNG PHẦN CỨNG SỐ
Bài giảng Điện tử sốV1.0
www.ptit.edu.vn GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
BỘ MÔN: KỸ THUẬT ĐIỆN TỬ- KHOA KTDT1
BÀI GIẢNG MÔN : ĐIỆN TỬ SỐ
 Mô tả triger DFF nhƣ sau:
entity DFF is
port ( D, Clock : in std_logic ;
Reset : in std_logic ; 
Q : out std_logic) ;
end entity DFF ; 
architecture RTL of DFF is
begin
process (Clock, Reset)
begin
If (Reset = „1‟ ) then Q <= „0‟ ;
elsif (Clock‟event and Clock = „1‟) then 
Q <= D ;
end if;
end process ;
 Đây là triger D có Reset mức tích cực cao, và không đồng bộ.
9.2.1 Phƣơng pháp mô tả theo mô hình cấu trúc logic 
Bài giảng Điện tử sốV1.0
www.ptit.edu.vn GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
BỘ MÔN: KỸ THUẬT ĐIỆN TỬ- KHOA KTDT1
BÀI GIẢNG MÔN : ĐIỆN TỬ SỐ
 Đây là mức độ mô tả trừu tƣợng nhất, chủ yếu là mô tả theo 
chức năng của hệ thống số theo yêu cầu đầu vào và đáp ứng 
ra sử dụng các cấu trúc lệnh giống nhƣ của ngôn ngữ lập 
trình bậc cao nhƣ PROCESS , WAIT, IF, CASE, FOR-
LOOP 
 Mô tả theo cách này tính ngữ nghĩa tự nhiên và giải thuật 
rất cao, nhập thiết kế rất nhanh, nhƣng cấu trúc của phần 
cứng thƣờng không rõ. 
9.1.2 Phƣơng pháp mô tả theo mô hình hành vi (Behavioral)
Bài giảng Điện tử sốV1.0
www.ptit.edu.vn GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
BỘ MÔN: KỸ THUẬT ĐIỆN TỬ- KHOA KTDT1
BÀI GIẢNG MÔN : ĐIỆN TỬ SỐ
 Hệ thống số đƣợc biểu diễn theo mô hình RTL khi chúng 
đƣợc xác định bởi 3 thành phần nhƣ sau:
 - Tập các thanh ghi trong hệ thống (Các khối mạch nhớ, 
mạch tuần tự).
 - Các phép toán đƣợc thực hiện trên dữ liệu đƣợc lƣu trong 
các thanh ghi đƣợc xây dựng nhờ các mạch logic tổ hợp.
 - Những điều khiển để giám sát chuỗi tuần tự các phép toán 
trong hệ thống (thƣờng đƣợc xây dựng trên mô hình máy 
trạng thái).
9.2.3 Phƣơng pháp mô tả theo mô hình luồng dữ liệu RTL
Bài giảng Điện tử sốV1.0
www.ptit.edu.vn GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
BỘ MÔN: KỸ THUẬT ĐIỆN TỬ- KHOA KTDT1
BÀI GIẢNG MÔN : ĐIỆN TỬ SỐ
 a. Mô tả mạch logic tổ hợp
 Mạch logic tổ hợp có thể mô tả bằng các cấu trúc lệnh song, 
tuy nhiên thƣờng dùng các process tổ hợp. Khi sử dụng 
process tổ hợp tất cả các tín hiệu vào của mạch tổ hợp phải 
đƣợc đƣa vào danh sách tín hiệu kích thích. 
9.2.3 Phƣơng pháp mô tả theo mô hình luồng dữ liệu RTL
Z
B
A
D
En
Q
process(A,B) 
begin 
 Z <= A or B ; 
end process; 
process (D, En) 
begin 
-- gán mặc định đầu ra 
 Q <= 0; 
 if En = ‗1‘ then Q <= D ; 
 end if ; 
end process; 
Bài giảng Điện tử sốV1.0
www.ptit.edu.vn GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
BỘ MÔN: KỸ THUẬT ĐIỆN TỬ- KHOA KTDT1
BÀI GIẢNG MÔN : ĐIỆN TỬ SỐ
 b. Mô tả mạch tuần tự:
 Các khối thanh ghi có thể đƣợc mô tả bằng tiến trình hoạt 
động theo clock theo 2 kiểu:
 Tiến trình đồng bộ, với danh sách tín hiệu kích thích chỉ có 
duy nhất tín hiệu clock, mọi biến đổi của mạch đƣợc đồng bộ 
theo sƣờn clock)
 Hoặc tiến trình không đồng bộ, với danh sách tín hiệu kích 
thích không chỉ có tín hiệu clk mà còn có các tín hiệu không 
đồng bộ khác.
9.2.3 Phƣơng pháp mô tả theo mô hình luồng dữ liệu RTL
Bài giảng Điện tử sốV1.0
www.ptit.edu.vn GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
BỘ MÔN: KỸ THUẬT ĐIỆN TỬ- KHOA KTDT1
BÀI GIẢNG MÔN : ĐIỆN TỬ SỐ
Ví dụ mô tả hoạt động của Triger D làm việc theo sƣờn dƣơng với các tín hiệu Reset không 
đồng bộ nhƣ sau: 
process ( Clk, Reset ) 
 begin 
 if reset = ‗1‘ then 
 Q <= ‗0‘ ; 
 elsif (Clk`event and Clk = ‗1‘) then 
 Q <= D ; 
 end if ; 
end process ; 
QD
Clk
Reset
9.2.3 Phƣơng pháp mô tả theo mô hình luồng dữ liệu RTL
Bài giảng Điện tử sốV1.0
www.ptit.edu.vn GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
BỘ MÔN: KỸ THUẬT ĐIỆN TỬ- KHOA KTDT1
BÀI GIẢNG MÔN : ĐIỆN TỬ SỐ
9.2.4 Phƣơng pháp mô tả theo mô hình đồ hình trạng thái (máy trạng thái - State Machine)
Mô hình Moore: Kết quả đầu ra chỉ phụ thuộc vào trạng thái hiện tại. 
Xác định 
Trạng thái 
tiếp theo 
Xác định 
Đầu ra 
Thanh ghi 
trạng thái 
hiện tại 
Đầu vào 
Đầu ra 
Clock 
Hình 9.6 – Mô hình máy trạng thái Moore. 
Bài giảng Điện tử sốV1.0
www.ptit.edu.vn GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
BỘ MÔN: KỸ THUẬT ĐIỆN TỬ- KHOA KTDT1
BÀI GIẢNG MÔN : ĐIỆN TỬ SỐ
9.2.4 Phƣơng pháp mô tả theo mô hình đồ hình trạng thái (máy trạng thái - State Machine)
- Mô hình Mealy: Đầu ra phụ thuộc vào cả trạng thái hiện tại và tín hiệu vào. 
Xác định 
Trạng thái 
tiếp theo 
Xác định 
Đầu ra 
Thanh ghi 
trạng thái 
hiện tại 
Đầu vào 
Đầu ra 
Clock 
Hình 9.7 – Mô hình máy trạng thái Mealy. 
Bài giảng Điện tử sốV1.0
www.ptit.edu.vn GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
BỘ MÔN: KỸ THUẬT ĐIỆN TỬ- KHOA KTDT1
BÀI GIẢNG MÔN : ĐIỆN TỬ SỐ
Trong thực tế hệ thống số thƣờng đƣợc mô tả bằng việc kết hợp cả mô hình Moore và Mealy 
và sử dụng thêm thanh ghi đầu ra: 
Xác định 
Trạng thái 
tiếp theo 
Xác định 
Đầu ra 
Thanh ghi 
trạng thái 
hiện tại 
Đầu vào 
Đầu ra 
Clock 
Thanh 
ghi 
đầu ra 
Thanh 
ghi 
đầu ra 
Moore 
Mealy 
Moore 
Mealy 
Hình 9.8 – Mô hình máy trạng thái hỗn hợp Moore và Mealy 
9.2.4 Phƣơng pháp mô tả theo mô hình đồ hình trạng thái (máy trạng thái - State Machine)
Bài giảng Điện tử sốV1.0
www.ptit.edu.vn GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
BỘ MÔN: KỸ THUẬT ĐIỆN TỬ- KHOA KTDT1
BÀI GIẢNG MÔN : ĐIỆN TỬ SỐ
Ví dụ: bộ đếm thập phân thuận nghịch đồng bộ “UpdownCounter” có mô hình vẽ sau: 
UpdownCounter 
UP 
RESET 
CLK 
Z 
Hình 9.10 – Mô hình bộ đếm thuận nghịch 
9.2.4 Phƣơng pháp mô tả theo mô hình đồ hình trạng thái (máy trạng thái - State Machine)
Bài giảng Điện tử sốV1.0
www.ptit.edu.vn GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
BỘ MÔN: KỸ THUẬT ĐIỆN TỬ- KHOA KTDT1
BÀI GIẢNG MÔN : ĐIỆN TỬ SỐ
9.2.4 Phƣơng pháp mô tả theo mô hình đồ hình trạng thái (máy trạng thái - State Machine)
 UP='0' UP='0' 
UP='0' UP='0' 
UP='0' 
UP='1' UP='1' 
UP='1' UP='0' 
UP='1' 
UP='1' 
UP='0' 
UP='1' 
UP='0' 
UP='1' 
UP='1' UP='0' 
UP='1' 
UP='0' 
UP='1' 
RESET S0 
if UP='0' then 
Z='1' 
else Z='0' 
S1 
Z='0' 
S2 
Z='0' 
S3 
Z='0' 
S4 
Z='0' 
S5 
Z='0' 
S6 
Z='0' 
S7 
Z='0' 
S8 
Z='0' 
S9 
if UP='0' then 
Z='0' 
else Z='1' 
Hình 9.11 – Đồ hình trạng thái của bộ đếm thập phân thuận nghịch 
Bài giảng Điện tử sốV1.0
www.ptit.edu.vn GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
BỘ MÔN: KỸ THUẬT ĐIỆN TỬ- KHOA KTDT1
BÀI GIẢNG MÔN : ĐIỆN TỬ SỐ
9.2.4 Phƣơng pháp mô tả theo mô hình đồ hình trạng thái (máy trạng thái - State Machine)
LIBRARY ieee; 
USE ieee.std_logic_1164.all; 
ENTITY FSM IS 
 PORT (CLK,RESET,UP: IN std_logic; 
 Z : OUT std_logic); 
END; 
ARCHITECTURE BEHAVIOR OF FSM IS 
 SIGNAL sreg : std_logic_vector (3 DOWNTO 0); 
 SIGNAL next_sreg : std_logic_vector (3 DOWNTO 0); 
 CONSTANT S0 : std_logic_vector (3 DOWNTO 0) :="0000"; 
 CONSTANT S1 : std_logic_vector (3 DOWNTO 0) :="0001"; 
 CONSTANT S2 : std_logic_vector (3 DOWNTO 0) :="0010"; 
 CONSTANT S3 : std_logic_vector (3 DOWNTO 0) :="0011"; 
 CONSTANT S4 : std_logic_vector (3 DOWNTO 0) :="0100"; 
 CONSTANT S5 : std_logic_vector (3 DOWNTO 0) :="0101"; 
 CONSTANT S6 : std_logic_vector (3 DOWNTO 0) :="0110"; 
 CONSTANT S7 : std_logic_vector (3 DOWNTO 0) :="0111"; 
 CONSTANT S8 : std_logic_vector (3 DOWNTO 0) :="1000"; 
 CONSTANT S9 : std_logic_vector (3 DOWNTO 0) :="1001"; 
 SIGNAL next_Z : std_logic; 
Bài giảng Điện tử sốV1.0
www.ptit.edu.vn GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
BỘ MÔN: KỸ THUẬT ĐIỆN TỬ- KHOA KTDT1
BÀI GIẢNG MÔN : ĐIỆN TỬ SỐ
9.2.4 Phƣơng pháp mô tả theo mô hình đồ hình trạng thái (máy trạng thái - State Machine)
BEGIN 
 Sync: PROCESS (CLK) –- Cập nhật trạng thái mới của bộ đếm 
 BEGIN 
 IF CLK='1' AND CLK'event THEN 
 if RESET='1' then 
 sreg<= S0; 
 else 
 sreg <= next_sreg; 
 end if; 
 END IF; 
 END PROCESS; 
 Comb: PROCESS (sreg,UP) –- Kiểm tra điều kiện chuyển trạng thái 
Bài giảng Điện tử sốV1.0
www.ptit.edu.vn GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
BỘ MÔN: KỸ THUẬT ĐIỆN TỬ- KHOA KTDT1
BÀI GIẢNG MÔN : ĐIỆN TỬ SỐ
9.2.4 Phƣơng pháp mô tả theo mô hình đồ hình trạng thái (máy trạng thái - State Machine)
BEGIN 
 CASE sreg IS 
 WHEN S0 => 
 IF ( UP='0' ) THEN next_sreg<=S9; 
 ELSE next_sreg<=S1; 
 END IF; 
 WHEN S1 => 
 IF ( UP='0' ) THEN next_sreg<=S0; 
 ELSE next_sreg<=S2; 
 END IF; 
 WHEN S2 => 
 IF ( UP='0' ) THEN next_sreg<=S1; 
 ELSE next_sreg<=S3; 
 END IF; 
 WHEN S3 => 
 IF ( UP='0' ) THEN next_sreg<=S2; 
 ELSE next_sreg<=S4; 
 END IF; 
 WHEN S4 => 
 IF ( UP='0' ) THEN next_sreg<=S3; 
 ELSE next_sreg<=S5; 
 END IF; 
 WHEN S5 => 
 IF ( UP='0' ) THEN next_sreg<=S4; 
 ELSE next_sreg<=S6; 
 END IF; 
Bài giảng Điện tử sốV1.0
www.ptit.edu.vn GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
BỘ MÔN: KỸ THUẬT ĐIỆN TỬ- KHOA KTDT1
BÀI GIẢNG MÔN : ĐIỆN TỬ SỐ
9.2.4 Phƣơng pháp mô tả theo mô hình đồ hình trạng thái (máy trạng thái - State Machine)
 WHEN S6 => 
 IF ( UP='0' ) THEN next_sreg<=S5; 
 ELSE next_sreg<=S7; 
 END IF; 
 WHEN S7 => 
 IF ( UP='0' ) THEN next_sreg<=S6; 
 ELSE next_sreg<=S8; 
 END IF; 
 WHEN S8 => 
 IF ( UP='0' ) THEN next_sreg<=S7; 
 ELSE next_sreg<=S9; 
 END IF; 
 WHEN S9 => 
 IF ( UP='0' ) THEN next_sreg<=S8; 
 ELSE next_sreg<=S0; 
 END IF; 
 WHEN OTHERS => next_sreg<=S0; 
 END CASE; 
 END PROCESS; 
 Outputs: PROCESS (sreg,UP) —-Tính kết quả đầu ra 
Bài giảng Điện tử sốV1.0
www.ptit.edu.vn GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
BỘ MÔN: KỸ THUẬT ĐIỆN TỬ- KHOA KTDT1
BÀI GIẢNG MÔN : ĐIỆN TỬ SỐ
BEGIN 
 IF UP='1' THEN 
 if sreg=S9 then Z<= '1'; 
 else Z<= '0'; 
 end if; 
 ELSE 
 if sreg=S0 then Z<= '1'; 
 else Z<= '0'; 
 end if; 
 END IF; 
 END PROCESS; 
END BEHAVIOR; 
9.2.4 Phƣơng pháp mô tả theo mô hình đồ hình trạng thái (máy trạng thái - State Machine)
Bài giảng Điện tử sốV1.0
www.ptit.edu.vn GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
BỘ MÔN: KỸ THUẬT ĐIỆN TỬ- KHOA KTDT1
BÀI GIẢNG MÔN : ĐIỆN TỬ SỐ
273
Tài liệu tham khảo
 Giáo trình Điện tử số - Trần Thúy Hà-Đỗ Mạnh Hà, HVCNBCVT 2009. 
 Giáo trình Kỹ thuật số - Trần Văn Minh, NXB Bƣu điện 2002. 
 Cơ sở kỹ thuật điện tử số, Đại học Thanh Hoa, Bắc Kinh, NXB Giáo dục 1996.
 Kỹ thuật số, Nguyễn Thúy Vân, NXB Khoa học và kỹ thuật 1994.
 Lý thuyết mạch logic và Kỹ thuật số, Nguyễn Xuân Quỳnh, NXB Bƣu điện 1984.
 Fundamentals of logic design, fourth edition, Charles H. Roth, Prentice Hall 
1991.
 Digital engineering design, Richard F.Tinder, Prentice Hall 1991.
 Digital design principles and practices, John F.Wakerly, Prentice Hall 1990.
 VHDL for Programmable Logic by Kevin Skahill, Addison Wesley, 1996
 The Designer's Guide to VHDL by Peter Ashenden, Morgan Kaufmann, 1996.
 Analysis and Design of Digital Systems with VHDL by Dewey A., PWS 
Publishing, 1993.

File đính kèm:

  • pdfbai_giang_dien_tu_so_tran_thuy_ha.pdf